Perguntas Freqüentes

Geral | Trabalho 1 | Trabalho 2 | Trabalho 3

Geral

Como utilizar o ghdl?

O ghdl está instalado nos computadores do IC-3 (Linux). Para utiliza-lo, você precisa primeiro efetuar a análise (compilar) de cada arquivo com o comando:
    ghdl -a --ieee=synopsys <nome do arquivo.vhd>
e depois você deve elaborar (linkar) o projeto com o comando:
    ghdl -e --ieee=synopsys <nome da entidade principal>
Observe que para elaborar, você não deve colocar o .vhd no final do arquivo. O arquivo resultante terá o nome da entidade principal e você poderá executá-lo para simular seu projeto.
Como exemplo, veja o Trabalho 1 do ano passado, e os arquivos do gabarito: arbiter.vhd, tb_arbiter.vhd, arbiter.input e arbiter.expected. Para utiliza-lo, você deve executar:
    ghdl -a --ieee=synopsys arbiter.vhd
    ghdl -a --ieee=synopsys tb_arbiter.vhd
    ghdl -e --ieee=synopsys tb_arbiter
    tb_arbiter
    diff arbiter.output arbiter.expected
As duas primeiras linhas efetuam a análise do código, a terceira elabora o executável. A quarta linha executa o testbench simulando o circuito e a quinta linha testa a saída gerada com o gabarito esperado.

Como ver as entradas/saídas através do ghdl?

Você deve utilizar um testbench para fazer a leitura/escrita das entradas/saídas diretamente do disco. Para visualizar a saída também é possível gerar um arquivo no formato .vcd, mas esse formato não suporta alguns dos tipos de dados do VHDL. Um visualizador de .vcd gratuito é o gtkwave.

Trabalho 1

Trabalho 2

Trabalho 3