O segundo trabalho consiste em implementar uma interface paralela compatível com o chip 82C55A. Veja especificações nesses dois arquivos: 1, 2.
Utilize a entidade abaixo:
entity i82c55a is port (-- Sinais da interface OCP Clk : in std_logic; Reset_n : in std_logic; MAddr : in std_logic_vector(1 downto 0); MCmd : in std_logic_vector(2 downto 0); MData : in std_logic_vector(7 downto 0); SCmdAccept : out std_logic; SData : out std_logic_vector(7 downto 0); SResp : out std_logic_vector(1 downto 0); SInterrupt : out std_logic; -- Sinais externos PA : inout std_logic_vector(7 downto 0); PB : inout std_logic_vector(7 downto 0); PC : inout std_logic_vector(7 downto 0) ); end entity i82c55a;
Todos os nomes devem ser idênticos aos descritos acima.